Title

Area-Energy Tradeoffs Of Logic Wear-Leveling For Bti-Induced Aging

Keywords

Dark Silicon; Dependable Systems; Design Space Exploration; HCI; NBTI/PBTI; Performance Degradation; Reliability

Abstract

Ensuring operational reliability in the presence of Bias Temperature Instability (BTI) effects often results in a compromise either in the form of lower performance and/or higher energy-consumption. This is due to the performance degradation over time caused by BTI effects which needs to be compensated through frequency, voltage, or area margining to meet the circuit's timing specification till end of operational lifetime. In this paper, a circuit-level approach referred to as Logic-Wear-Leveling (LWL) utilizes Dark-Silicon to mitigate BTI effects in logic datapaths. LWL introduces fine-grained spatial redundancy in timing vulnerable logic components, and leverages it at runtime to enable post-Silicon adaptability. The activation interval of redundant datapaths allows for controlled stress and recovery phases. This produces a wear-leveling effect which helps to reduce the BTI induced performance degradation over time, which in turn helps to reduce the design margins. This approach demonstrates a significant reduction in energy consumption of up to 31.98% at 10 years as compared to conventional voltage guardbanding approach. The benefit of energy reduction is also assessed against the area overheads of spatial redundancy.

Publication Date

5-16-2016

Publication Title

2016 ACM International Conference on Computing Frontiers - Proceedings

Number of Pages

37-44

Document Type

Article; Proceedings Paper

Personal Identifier

scopus

DOI Link

https://doi.org/10.1145/2903150.2903171

Socpus ID

84978543499 (Scopus)

Source API URL

https://api.elsevier.com/content/abstract/scopus_id/84978543499

This document is currently not available here.

Share

COinS