Title

Synthesis Of Pipelined Srsl Circuits

Abstract

In this paper, we propose a new design methodology for clockless circuits based on the present methodology of clocked circuits. This methodology takes advantage of the maturity of current CAD tools to synthesize new clockless pipelines without disrupting their design flow. Currently, there is no established design methodology to support the design and verification of clockless circuits. As a case in study, the proposed design methodology targets the synthesis of new pipelines based on a recently introduced clockless design technique called self-resetting stage logic (SRSL), The synthesis of SRSL pipelines starts from a synthesized gate netlist to satisfy a specified data rate by minimizing overall pipeline area. Since this synthesis problem is formulated as a large integer programming problem, an efficient two-phase heuristic algorithm is proposed to solve this problem. Experimental results show that SRSL pipelines can reach throughputs in the GHz range and are highly suitable for coarse-grain datapaths. © 2006 IEEE.

Publication Date

10-9-2006

Publication Title

Proceedings - IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures 2006

Volume

2006

Number of Pages

71-76

Document Type

Article; Proceedings Paper

Personal Identifier

scopus

DOI Link

https://doi.org/10.1109/ISVLSI.2006.86

Socpus ID

33749357515 (Scopus)

Source API URL

https://api.elsevier.com/content/abstract/scopus_id/33749357515

This document is currently not available here.

Share

COinS